糖尿病康复,内容丰富有趣,生活中的好帮手!
糖尿病康复 > IUS通过PLI产生fsdb波形

IUS通过PLI产生fsdb波形

时间:2023-06-11 22:56:42

相关推荐

IUS通过PLI产生fsdb波形

fsdb是verdi所独有支持的文件类型,VCS和IUS需要调用PLI来得到fsdb文件。

如果PLI的路径不对,fsdndumpfile和fsdndumpvar识别不出来。

IUS通过PLI接口来调用系统函数,产生fsdb波形,再由verdi来debug。

要调用fsdbDumpfile和fsdbDumpvars,需要在testcase的shell(或.cshrc等)中设置两个路径:

setenv LD_LIBRARY_PATH ${VERDI_INST_DIR}/share/PLI/${SIMULATOR_VERSION}/${PLATFORM}/boot:$LD_LIBRARY_PATH(setenv函数只在当前shell中有效)

+loadpli1=debpli:novas_pli_boot(或debpli:(directory of debpli.so))

如何打开基于这个工程的verdi:

在worklib++/ ,下使用命令verdi -top top。或者verdi -f file_list,如果直接打开波形加-ssf option

nWave -ssf ....fsdb 直接打开nwave界面

verdi -ssf ....fsdb 直接打开nwave界面

如果觉得《IUS通过PLI产生fsdb波形》对你有帮助,请点赞、收藏,并留下你的观点哦!

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。